Onto Innovation Inc. (ONTO)

NYSE: ONTO · IEX Real-Time Price · USD
223.50
-0.47 (-0.21%)
May 17, 2024, 4:00 PM EDT - Market closed
-0.21%
Market Cap 10.99B
Revenue (ttm) 845.55M
Net Income (ttm) 138.94M
Shares Out 49.28M
EPS (ttm) 2.82
PE Ratio 79.26
Forward PE 43.62
Dividend n/a
Ex-Dividend Date n/a
Volume 343,737
Open 225.63
Previous Close 223.97
Day's Range 220.70 - 227.49
52-Week Range 94.83 - 235.42
Beta 1.35
Analysts Strong Buy
Price Target 222.17 (-0.6%)
Earnings Date May 9, 2024

About ONTO

Onto Innovation Inc. engages in the design, development, manufacture, and support of process control tools that performs optical metrology. The company offers lithography systems and process control analytical software. It also offers process and yield management solutions, and device packaging and test facilities through standalone systems for optical metrology, macro-defect inspection, packaging lithography, and transparent and opaque thin film measurements. In addition, the company provides process control software portfolio that includes so... [Read more]

Sector Technology
Founded 1940
Employees 1,497
Stock Exchange NYSE
Ticker Symbol ONTO
Full Company Profile

Financial Performance

In 2023, ONTO's revenue was $815.87 million, a decrease of -18.83% compared to the previous year's $1.01 billion. Earnings were $121.16 million, a decrease of -45.75%.

Financial Statements

Analyst Forecast

According to 8 analysts, the average rating for ONTO stock is "Strong Buy." The 12-month stock price forecast is $222.17, which is a decrease of -0.60% from the latest price.

Price Target
$222.17
(-0.60% downside)
Analyst Consensus: Strong Buy
Stock Forecasts

News

Onto Innovation to Participate in Upcoming Investor Events

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that its senior management team will participate in the fo...

4 days ago - Business Wire

Onto Innovation Reports 2024 First Quarter Results

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the first fiscal quarter of 2024. Fi...

8 days ago - Business Wire

Tech Has Been a Winner. Nine Stocks That Could Get Caught in Correction.

Some fast-rising tech stocks have high betas, meaning their share prices are likely to fall hard in a downturn.

10 days ago - Barrons

Onto Innovation Debuts Sub-surface Defect Inspection for Advanced Packaging

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) today announced the release of a new sub-surface inspection capability for the Dragonfly® G3 sub-micron 2D/3D inspection...

24 days ago - Business Wire

Onto Innovation to Report First Quarter 2024 Financial Results on May 9, 2024

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) will release its 2024 first quarter results shortly after the market closes on May 9, 2024. Onto Innovation will host a ...

5 weeks ago - Business Wire

Onto Innovation Announces Investor Relations Transition Plan

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that Michael Sheaffer plans to retire from the Company in ...

6 weeks ago - Business Wire

Onto Innovation Welcomes Susan Lynch to its Board of Directors

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation”, “Onto”, or the “Company”) today announced Susan Lynch has joined its board of directors. With over 3...

2 months ago - Business Wire

Onto Innovation's 4Di InSpec™ Automated Metrology System Receives 2024 Innovative System of the Year Award from FANUC America

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) and its Tucson subsidiary 4D Technology today announced they've been named winner of FANUC America's prestigious 2024 In...

2 months ago - Business Wire

Onto Innovation Reports 2023 Fourth Quarter and Full Year Results

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the fourth quarter and full year 202...

3 months ago - Business Wire

Onto Innovation Debuts Firefly® G3 Panel-level Packaging Inspection System

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) today announced the launch of the new Firefly® G3 inspection and metrology system for automated process control during h...

4 months ago - Business Wire

Onto Innovation to Report Fourth Quarter and Full Year 2023 Financial Results on February 8, 2024

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) will release its 2023 fourth quarter and full year results shortly after the market closes on February 8, 2024. Onto Inn...

4 months ago - Business Wire

Onto Innovation to Participate in 26th Annual Needham Growth Conference

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that Michael Plisinski, chief executive officer, and Mark ...

5 months ago - Business Wire

Onto Innovation Reports 2023 Third Quarter Results

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the third fiscal quarter of 2023. Th...

6 months ago - Business Wire

Lululemon Athletica & Hubbell Set to Join S&P 500; Others to Join S&P MidCap 400 and S&P SmallCap 600

NEW YORK , Oct. 13, 2023 /PRNewswire/ -- S&P Dow Jones Indices will make the following changes to the S&P 500, S&P MidCap 400, and S&P SmallCap 600 indices effective prior to the opening of trading on...

Other symbols: ATVIHUBBLULUOGN
7 months ago - PRNewsWire

Onto Innovation to Report Third Quarter 2023 Financial Results on November 9, 2023

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) will release its 2023 third quarter results shortly after the market closes on November 9, 2023. Onto Innovation will ho...

7 months ago - Business Wire

Onto Innovation Announces Over $100 Million in Orders for Systems Supporting Advanced Packaging for AI

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced finalizing over $100 million in orders for the Dragonfly® ...

9 months ago - Business Wire

Onto Innovation Reports 2023 Second Quarter Results

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the second fiscal quarter of 2023. S...

10 months ago - Business Wire

Onto Innovation Expands Portfolio of Process Control Solutions for High Growth Specialty Markets

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) today announced the introduction of the Atlas® S optical critical dimension metrology system and the Element™ S high-spe...

11 months ago - Business Wire

Onto Innovation Launches U.S. Applications Center of Excellence Focused on Panel-Level Packaging

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) today announced the launch of an Applications Center of Excellence, a combined tool demonstrator, photo resist qualifica...

11 months ago - Business Wire

Onto Innovation to Report Second Quarter 2023 Financial Results on August 10, 2023

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) will release its 2023 second quarter results shortly after the market closes on August 10, 2023. Onto Innovation will ho...

11 months ago - Business Wire

Onto Innovation Invites Customers and Investors to Schedule Meetings at SEMICON® West

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) today announced it is proud to be exhibiting at SEMICON West on July 11-13, 2023. Onto Innovation invites customers and ...

11 months ago - Business Wire

How will AI affect stocks of semiconductor companies? Here are the 18 expected to grow their sales most quickly.

Now that investors and analysts have had a few weeks to digest Nvidia Corp.'s artificial-intelligence bombshell on May 24, it is time to screen semiconductor stocks to see which players in this dynami...

1 year ago - Market Watch

Onto Innovation to Host Analyst Event and Participate in Upcoming Investor Events

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today invites registration for its Company sponsored analyst event on June...

1 year ago - Business Wire

Onto Innovation Reports 2023 First Quarter Results

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced financial results for the first fiscal quarter of 2023. 20...

1 year ago - Business Wire

Save the Date: Onto Innovation to Host Analyst Event on June 1, 2023

WILMINGTON, Mass.--(BUSINESS WIRE)---- $ONTO--Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that it will host a Company sponsored analyst event on Thu...

1 year ago - Business Wire